欢迎来到速发表网,咨询电话:400-838-9661

关于我们 登录/注册 购物车(0)

期刊 科普 SCI期刊 投稿技巧 学术 出书

首页 > 优秀范文 > eda技术论文

eda技术论文样例十一篇

时间:2023-03-01 16:34:31

序论:速发表网结合其深厚的文秘经验,特别为您筛选了11篇eda技术论文范文。如果您需要更多原创资料,欢迎随时与我们的客服老师联系,希望您能从中汲取灵感和知识!

eda技术论文

篇1

2.eda技术在模拟电子技术理论教学中的应用

EDA即电子设计自动化,以计算机和仿真软件为工具,可以完成整个电路从系统级到物理级的设计与分析。常用仿真软件有Matlab、Protel、Multisim和PSpice等,考虑到Multisim先进的电路仿真和设计功能且一年级时曾作为学生的自修课程,本次教学研究采用Multisim软件。在模拟电子技术的理论教学中,对于那些概念分析抽象、不易理解的部分,利用Multisim,教师可以构建电子电路模型进行仿真演示,通过波形图和数据直观展示各种参数变化和虚拟故障对电路静态动态性能的影响,具体而又生动,不仅可以加强学生对理论知识的理解,还可以激发学生的学习兴趣,提高课堂教学效果。例如在模拟电子教学中第一次讲解共射放大电路时,很多同学对放大线路中各个节点的波形分不清楚,不知道直流信号和交流信号如何叠加在同一个电路中,电路中各节点信号的相位关系如何觉得难以理解。传统教学中,仅仅靠在黑板上画图讲解,教师难讲,学生难懂,费事费力效果却不好。现在针对这个问题,教师可以通过Multisim搭建基本共射放大电路模型,设置模型参数,观察仿真波形。共射电路输入信号(节点2波形)和输出信号(节点5波形)的反相关系,并且根据波形的峰值可以直接算出电路的电压放大倍数。节点2和节点4波形是静态工作点电压和交流信号叠加信号,c1和c2两个电容起到隔直作用。通过Multisim软件的演示过程,直接把抽象的理论转化成直观的视觉感受,电路各点波形在学生的脑海里留下深刻的印象,教学效果事半功倍。教学过程的前期,可以在课堂上现场建立电路模型,演示如何进行仿真,让学生逐渐掌握Multisim的使用。在教学过程的中后期,随着学生对Multisim软件的熟悉,为了节约课堂时间,可以事先把教材中需要讲解的电路模型搭建好,用到时直接调用即可。通过这种理论教学和软件演示相辅相成的教学方式,使得学生把电路原理、工作波形和数学关系等紧密结合在一起,全面掌握模拟电路的基础理论,更好地理解这门课程。

3.EDA技术在模拟电子技术实践教学中的应用

模拟电子技术在传统的教学过程中,实践教学基本都是基于实验平台操作。实验平台的特点是安全、便于操作,但是平台电路有限,只能覆盖课程教学中一部分基础电路,基于实验平台的实验基本都是验证型实验,且操作过程中平台电路元件易损坏,不能很好地达到锻炼学生动手能力的目的。这就使得学校教学比工程实际滞后,不利于工科应用型人才的培养,造成学生眼高手低,进一步影响学生的就业和发展。因此,模拟电子技术实践教学中引入仿真软件,将平台实验和软件虚拟实验结合,先采用软件对实验进行设计仿真,后平台实验进行实际电路搭建,既加强了学生对理论的理解,又突出了学生的动手能力。实践教学分成两部分,第一部分是基本电路的验证和演示实验,加深学生对书本基础理论的理解。该部分实验相对比较简单,学生主要在实验平台上进行操作,同时以Multisim仿真为辅,对一些在实验平台上难以操作的部分进行仿真验证。如研究静态工作点对电路动态性能的影响,实验平台操作只能观察电路中的一个电阻参数改变对电路输出波形的影响,而在虚拟仿真平台上,可以对电路中所有涉及到静态工作点的元件参数进行更改,进而观察电路波形的变化,并且还可以连续改变元件参数对波形的变化进行实时观测。第二部分是模拟电子技术课程设计,要求学生自己分析设计一个较大规模复杂模拟电路,给出严格的设计思路、理论推导和元件选型依据,在仿真软件平台上搭建出具体电路模型并通过仿真实验验证,然后进行实际电路焊接,充分发挥学生的主体作用,调动学生对该课程学习的主动性、积极性和创造性,提高学生对模拟电路的认识分析能力和创造能力。

篇2

所谓EDA技术是在电子CAD技术基础上发展起来的计算机软件系统。它是以计算机为工作平台,以硬件描述语言为系统逻辑描述的主要表达方式,以EDA工具软件为开发环境,以大规模可编程逻辑器件PLD(ProgrammableLogicDevice)为设计载体,以专用集成电路ASIC(ApplicationSpecificIntegratedCircuit)、单片电子系统SOC(SystemOnaChip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程[J]。在此过程中,设计者只需利用硬件描述语言HDL(HardwareDescriptionlanguage),在EDA工具软件中完成对系统硬件功能的描述,EDA工具便会自动完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA技术研究的范畴相当广泛,从ASIC开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块和布局布线子模块等。EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

二、EDA技术的发展

EDA技术的发展至今经历了三个阶段:电子线路的CAD是EDA发展的初级阶段,是高级EDA系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成电路板图。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。

EDA技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。

高级EDA阶段,又称为ESDA(电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-UP)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(ConcurrentEngineering)的设计方法,设计者的精力主要集中在所设计电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。此阶段EDA技术的主要特征是支持高级语言对系统进行描述。可进行系统级的仿真和综合。

三、基于EDA技术的电子系统设计方法

1.电子系统电路级设计

首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析和可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。

2.系统级设计

系统级设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

系统级设计的步骤如下:

第一步:按照“自顶向下”的设计方法进行系统划分。

第二步:输入VHDL代码,这是系统级设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。:

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

四、前景展望

21世纪将是EDA技术的高速发展时期,EDA技术是现代电子设计技术的发展方向,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。EDA将会超越电子设计的范畴进入其他领域随着集成电路技术的高速发展,数字系统正朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片(SoC,SystemonChip)方向发展,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的变革。

参考文献:

篇3

随着电子技术的发展革新,应用系统逐步朝向大容量、小型化、快速化的方向发展。数字化的设计系统也逐步由组合芯片向单片系统发展。EDA技术不仅带来了电子产品领域和系统开发的革命性变革,这也是科技发展与提高的必然产物。对于EDA技术的了解和对其在电子工程设计中的关键性分析都是十分有意义的。

1 EDA技术概述

所谓EDA技术,就是电子设计自动化,由CAE、CAD、CAM等计算机概念发展出现。EDA技术以计算机为主要工具,集合了图形学、数据库、拓扑逻辑、优化理论、计算数学、图论等学科,形成最新的理论体系,是微电子技术、计算机信息技术、电路理论、信号处理和信号分析的结晶。现代化的EDA技术具备很多特点,普遍采用了“自顶向下”的程序进行设计,保证了设计方案的整体优化,EDA技术的自动化程度更高,在设计过程中能够进行各类级别的调试、纠错和仿真,设计者能够及时发现结构设计的错误,避免了设计上的工作浪费,设计人员也能抛开细枝末节的问题,将更多精力集中于系统开发,保证了设计的低成本、高效率、循环快、周期短。EDA技术还能实现并行操作,建立起并行工程框架的结构环境,支持更多人同时并行电子工程的技术开发和设计。

2 EDA技术发展

电子工程设计的EDA技术自出现以来,大致可以分为三个历史时期:

2.1 初级阶段

大约在二十世纪的七十年代,早期的EDA技术处于CAD阶段,出现了小规模的集成电路,由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长,借助于计算机技术的设计印刷,采取了CAD工具实现布图布线的二维平面编辑和分析,取代了高重复性的传统工艺。

2.2 发展阶段

到了二十世纪八十年代,EDA技术进入了发展完善的阶段。集成电路的规模逐渐扩大,电子系统日益复杂化,人们深入研究软件开发,将CAD集成为系统,加强了电路的机构设计和功能设计,这一时期的EDA技术已经开始延伸到半导体芯片设计的领域。

2.3 成熟阶段

经过了长期的发展,直至二十世纪九十年代,微电子技术的发展突飞猛进,单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管,这种科技现状对EDA技术提出更高的要求,推动了EDA技术的发展。各类技术公司陆续开发出大规模EDA软件系统,出现了系统级仿真、高级语言描述和综合技术的EDA技术。

3 EDA技术软件

3.1 EWB软件

所谓EWB是一种基于PC的电子设计软件,具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

3.2 PROTEL软件

该技术软件广泛应用了Prote199,主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际EDA技术领域开发、研究、应用中成为热门课题,并且迅速发展,成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等,伴随着科技水平的提升,EDA技术也必然会朝向更高层次的自动化设计技术不断发展。

4 EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1 源程序

通常情况下,电子工程设计首要的步骤就是通过EDA技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用EDA工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

4.2 逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

4.3 时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

4.4 仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

5 结束语

伴随着科学的发展,技术的革新,EDA技术的领域也在向高层次的技术推广和开发,成效十分显著。本篇论文我们对EDA技术的相关信息进行了详细的分析很研究,研究表明,EDA技术对于我国的电子工程设计改革具有巨大的推动力,基于EDA技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将EDA技术应用到电子工程设计的领域当中,对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献

[1]白杨.电子工程设计中EDA技术的应用[J].科海故事博览.科技探索,2012(6):242.

[2]于洋.分析EDA技术在电子工程设计中的应用[J].电子制作,2012(12):83.

篇4

 

《电子技术》是我院机电与电子专业的一门重要的专业基础必修课,也是较能整合新科学、新技术、新知识的一门重要的技术性综合课程, 是与实践联系紧密, 培养创新能力和实施创新教育的重要课程。在课程教学中发现, 学员往往陷入繁杂而较抽象的理论中不能自拔, 在课程学习的理解上存在较大的偏差, 即不知道学什么, 怎么学, 如何做到理论应用于实践,更谈不上理论与实践的有机结合。随着电子时代的快速发展, 以多媒体计算机辅助教学、EDA 技术为主的现代教学方法应运而生, 正如火如荼地应用于教育教学之中,《电子技术》的教学也不例外。这些教学形式的应用是对传统教学的挑战, 也是对传统教学的革新, 更是对传统教学的继承和发展。

1 多媒体课件在教学中的应用多媒体课件是计算机辅助教学应用最广泛的形式之一。教学中遇到学员难以理解的概念、规律等重点知识或不便攻克的难点,利用多媒体技术的优势,通过图形、动画、视频、文本、声音等方式加以表现。教师边演示边讲解,将抽象问题形象化,复杂问题简单化,既缩短了教学时间,又使得教学过程生动有趣、易于理解,这是传统的教学方法所无法比拟的。论文参考。论文参考。例如讲PN 结的形成过程和三极管电流分配和放大规律时, 都用到载流子的定向移动, 学员对载流子没有感性认识。若利用动画课件, 自由电子用黑色, 空穴用红色, 像小蝌蚪游动, 相遇时消失表示复合运动等, 这样既能把枯燥无味、难以理解的规律形象化, 也培养了学员的学习兴趣, 提高了课堂教学效果。再如放大电路的图解分析,一直是学员学习中的难点,教员费很大劲讲解,学员还是感觉抽象,难以理解。通过动画方式加以表现,使学员对放大电路中工作点的变化及输入对输出的控制过程都有了清楚的认识,花费较少的时间即可将此难点问题学懂。

2 EDA技术在教学中的应用近年来,随着计算机技术的发展,电子设计自动化( EDA) 技术越来越成为电子业的重要开发工具,使得电子线路的设计、开发、制造过程更快更好。论文参考。EWB是90年代初推出的专用于电子线路仿真的虚拟“电子工作台”。 它可以对模拟、数字和混合电路进行电路的性能仿真和分析。它采用图形界面,创建电路、选用元器件和测试仪器均可直接从屏幕图形中选取,且测试仪器的图形与实物外型基本相似,与其它电路仿真软件相比较,具有界面直观,操作方便等优点。利用EWB作为电子技术课程的辅助教学手段,不仅解决了场地、设备、经费等因素的限制,避免了因误操作而对仪器造成的损坏,而且对于某些实验中不易观察到的现象,也可以仿真得出。另外,通过仿真,还能加深学员对课程内容的理解,帮助他们掌握常用仪器的使用方法和测量方法,提高学习兴趣,培养分析问题、解决问题的能力。

实例 工作点稳定问题

在讲解工作点稳定问题时,我们只能通过原理分析温度变化对工作点产生的影响,要从实验中观察此现象,就比较困难了。而在EWB中,则非常容易办到。方法如下:

(1)输入固定偏置电路,调节RB的参数,使Ic=1mA。方法为:

在Analysis栏中选择Parameter Sweep(参数扫描)选项,输入各参数如下:

元件:R1起始值:100KΩ终止值:2000KΩ

扫描类型:线性步长:10KΩ输出节点:3

并选择对 DC Operating Point 进行扫描 。

篇5

 

1 引言

随着电子技术的发展及电子系统设计周期缩短的要求,EDA技术得到迅猛发展。

EDA是ElectronicDesign Automation(电子设计自动化)的缩写。EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计开发工具,通过使用有关的开发软件,自动完成电子系统设计的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术[1]。

目前,几乎所有高校的电类专业都开设了EDA课程,为加强教学效果,通常都使用专门的EDA实验箱来辅助教学,但是实验箱采用了一体化结构,所有的电路和器件都在一块电路板上,在功能上难以根据需要进行扩展,不利于学生的创新设计,复杂系统难以实现;实验箱体积较大,不便携带;EDA 实验箱、单片机实验箱、DSP实验箱、ARM实验箱中很多功能模块的硬件电路是相同的,但不同实验箱上相同模块不能共享,存在资源浪费。由于实验箱的上述缺点,很多高校都纷纷开始设计开发自己的实验系统模块,提高实验箱的利用率,提高学生的工程创新能力[2][3]。

2 EDA实验系统开发的特点

EDA实验系统的开发具有以下特点:

(1)实验内容由单一性向综合性发展

早期开发的EDA实验系统主要是学生用来学习EDA课程、下载程序、进行仿真的工具;使用实验系统是老师用来培养学生设计数字电路的能力、帮助学生学习和掌握开发语言的手段。因此EDA实验系统仅在电子类专业的EDA课程中使用,系统所提供的实验内容仅限于简单的数字电路设计,包括计数器、编码译码器的设计、数码管的显示等。随着EDA技术的发展,电信、通信等专业纷纷引入EDA实验系统,在“通信原理”等课程的实验教学中被广泛应用于实践[4],实验内容也从单一的基本数字电路的设计发展到集EDA技术实验、单片机实验、DSP实验等为一体的综合性的实验平台[5]。因此,EDA实验平台逐渐面向电子信息类相关专业的学生进行课程的学习,课外竞技活动,电子类设计比赛,并逐渐用于教师进行科研。

(2)系统结构从一体化向模块化发展

早起开发的EDA实验系统在结构上采用一体化的实验箱设计,所有的电路和器件都在一块电路板上[6]。这样,系统的使用虽然可以帮助学生掌握软件的应用,但也使学生对硬件电路不了解;另外,系统在功能上难以根据需要进行扩展,不利于学生进行创新设计,复杂的系统则难以实现。因此在后来的EDA实验系统的开发上,大都都采用了模块化的结构[7][8],即FPGA、单片机等做在一块核心板上,其IO口以插针形式引出,以方便和外围电路的连接;外围电路则以模块的形式单独做在不同的电路板上,比如数码管显示模块、按键模块、LED显示模块等;根据不同的实验摘要的模块搭建自己设计的电路,从而提高学习兴趣,增强实验教学的效果;此外,模块化的设计还方便老师对学生设计的重复实现,有利于教学水平的提高杂志铺。

(3)核心芯片由单一化向丰富化发展

早期开发的EDA实验系统由于仅用于EDA课程的学习,其核心芯片大都为Altera公司的FPGA等可编程逻辑器件,开发语言环境主要为界面友好、操作简便的Maxplus Ⅱ和Quartus Ⅱ。随着EDA技术向不同学科不同专业的渗透,核心芯片逐渐发展为FPGA、单片机和DSP器件的综合使用,开发语言也逐渐开始使用C语言或汇编语言等。这样,实验系统能提供的实验内容和规模均有所增加,除了基本的数字电路设计实验模块以外,还可以增设调制解调模块、帧同步模块、信号波形产生模块等,扩大了实验系统的使用率,使实验设备向大型化、先进化发展。

(4)使学生的学习由被动向主动发展

电子技术的发展日新月异,早期的实验平台由于其电路设计的封闭性,实验内容只停留在验证实验上,很难加入自己设计的外围电路。而模块化数字电路开放实验平台由于其接口电路的开放性,有能力的学生可以自行设计外围电路达到提高的目的,对于成功的设计还可以加到以后的实验教学中,成为具有自主知识产权的模块。

另外,由于整合了单片机、DSP等芯片的功能模块,实验内容得到很大扩展,学生在实验过程中可以拓宽知识面,主动去学习了解实验所需要的知识,学习的主动性得到很大的提高,并且,由于实验由简单的验证实验向综合的大型设计过渡,学生在实验过程中更容易理解数字电路设计中硬件的概念以及工程的概念。

学生在设计实验时,可能会用到一些实验系统没有开发出的模块,这时,学生需要自己设计该电路模块的电路图以及制作PCB板,直至实际制作出该功能模块。这样,学生除了掌握编程、还需要去学习怎样设计并制作电路板、学习该模块与核心板的接口电路设计等相关知识,因此,在实验过程中,学生的积极性和主动性得到提高。同时,由于实验的规模逐渐增加,同学之间需要团结合作才能共同完成一个实验,因此也锻炼了同学之间的团结合作精神。

3 结论

一个好的EDA实验平台,能培养学生开拓创新精神和团结协作精神、很强的实践操作能力、工程设计能力、综合应用能力、科学研究能力以及独立分析问题和解决问题的能力。我国高校现阶段所研制开发的EDA综合实验平台,能有效整合和优化多个电子类实验课程的功能,为单片机和 EDA技术等课程提供了综合实验平台,为高校培养创新性人才提供良好的实验条件和氛围。随着电子技术的发展以及EDA技术的不断深入发展,EDA实验平台的开发也将会日益完善:大规模可编程器件将被使用;实验系统将向体积小、功耗小的便携式嵌入式系统发展。

参考文献:

[1]廖超平,等著.EDA技术与VHDL实用教程[M]. 北京: 高等教育出版社, 2007:1

[2]刘延飞,等著.开发EDA综合实验平台,提高学生工程创新能力[J]. 实验室研究与探索, 2009,26(8):63-64.

[3]范胜利.一种基于模块的EDA教学实验系统[J]. 读与写杂志, 2009,6(11):102

[4]韩伟忠著.EDA,DSP技术与通信实验装置的总体设计[J]. 金陵职业大学学报, 2002,17(1),52-54

[5]孙旭,等著.单片机、DSP、EDA的综合实验系统的设计[J]. 实验科学与技术, 2008, 6(6): 55-57

[6]雷雪梅,等著.EDA教学实验箱的设计[J]. 内蒙古大学学报(自然科学版), 2004, 35(3): 344-347

[6]刘建成,等著.EDA实验系统的设计与实现[J]. 实验室研究与探索, 2009, 28(1): 86-88

篇6

 

0概述

在过去传统的电力监控系统中,常采用电流、电压、功率、功率因素、电量等一系列变送器及测量这些变送器标准输出信号的输入模块作为系统的前端采集装置,这样既增加了系统成本,又使现场布线复杂,系统可靠性还不高。

随着计算机技术的不断发展,综合了4C技术的分布式控制系统的产生,实现了工业生产过程的集中管理与分散控制。在现代各种分布式电力监控系统及工业控制与测量系统中,常利用上监控中心计算机进行现场实时数据的获取与发送。采用简单可靠易开发的通信软件,可大大降低系统的开发难度。由于文献1没有对通信软件及通信流程进行详细介绍,本文结合具体通信实例给出了相应程序代码。

1. 1EDA9033D智能模块

在现代分布式电力监控系统中,常将数字化的智能模块EDA9033D作为系统监测参数的现场数据采集装置。智能电量变送器EDA9033D采用了RS-485接口,遵循标准的Modbus-RTU通讯规约,且能连接到所有的计算机和终端并与之通讯。

1.1EDA9033D智能模块性能

EDA9033D智能模块是一智能型三相电参数数据综合采集模块,可准确输出三相相电压;三相电流;功率、正(反)向有(无)功电度等电参数;电压、电流等的测量精度优于0.2%,其它电量的测量精度优于0.5%。进行通信时,数据格式、通讯速率、模块地址等参数可灵活设定。组网方便,通过使用RS-485中继器,可将多达247个模块连接到同一网络上。

1.2智能模块通讯格式

EDA9033D通信采用Modbus-RTU通讯规约,数据传输方式中每个字节包括1个起始位,8个数据位(最小的有效位优先发送),无奇偶校验位,1个终止位;数据错误检测时采用循环冗余校验码方式进行确认。

篇7

所谓“集成电路EDA”是通过设计、建模、仿真等手段搭建集成电路框架,优化集成电路性能的一门技术,也是一名优秀的集成电路工程师除了掌握扎实的集成电路理论基础外,所必须掌握的集成电路设计方法。只有熟练掌握集成电路EDA技术,具备丰富的集成电路EDA设计实践经历,才能设计出性能优越、良品率高的集成电路芯片。可以说,集成电路EDA是纤维物理学、微电子学等专业的一门非常重要的专业课程。然而,目前集成电路EDA课程的教学效果并不理想,究其根本原因在于该课程存在内容陈旧、知识点离散、概念抽象、目标不明确等不足。因此,通过课程建设和教学改革,在理论教学的模式下,理论联系实践、提高教学质量,改善集成电路EDA课程的教学效果是必要的。

为了提高集成电路EDA课程的教学质量,改善教学环境,为国家培养具备高质量的超大规模集成电路EDA技术的人才,笔者从本校的实际情况出发,结合众多兄弟院校的改革经验,针对教学过程中存在的问题,进行了课程建设目标与内容的研究。

课程建设目标的改革

拓展学科领域,激发学生自主学习兴趣 本校集成电路EDA课程开设于纤维物理学专业,但是其内容包括物理、化学、电子等多个学科,教师可根据教学内容,讲述多个学科领域的专业知识,尤其是不同学科领域的创新和应用,引导学生走出本专业领域,拓展学生视野,提高科技创新意识。与学生经常进行互动,启发式和引导式地提出一些问题,让学生课后通过资料的查找和收集,在下一次课堂中参与讨论。激发学生思考问题和解决问题的兴趣。这样课内联系课外、师生全面互动、尊重自我评价的新型教学方法可以培养学生创新精神,激励自主学习,由被动式学习转为主动式学习,拓宽学生的知识面。

完善平台建设,培养学生创新实践能力 在已有的实验设备基础上,打造软件、硬件、网络等多位一体的集成电路EDA平台,完善集成电路EDA实验。通过集成电路EDA平台的实践环节,既培养了学生的仿真设计能力,加深了对集成电路EDA知识的掌握,又使学生掌握了科学的分析问题和解决问题的方法。引导学生参加项目研发,鼓励学生参与大学生创新创业和挑战杯活动,以本课程的考核方式激励学生写出创新性论文,通过软件仿真、实验建模等方式设计出自己的创新性产品,利用集成电路EDA平台验证自己的设计,然后以项目的形式联系企业,将产品转化为生产力,将“产学研”一体化的理念进行实践,培养学生创新实践能力。

课程教学内容的改革

精选原版教材 教材是教学的主要依据,教材选取的好坏直接影响着教学质量。传统集成电路EDA课程的教材都以中文教材为主,内容陈旧,即使是外文翻译版教材,也由于翻译质量及时间的原因,仍然无法跟得上集成电路的革新。因此,在教材选取时应当以一本英文原版教材为主,多本中文教材辅助。英文原版教材大多是国外资深集成电路EDA方面的专家以自己的实践经验和教学体会为基础,结合集成电路EDA的相关理论来进行编写,既有丰富的理论知识,又包含了大量的设计实例,使学生更容易地掌握集成电路EDA技术。但是只选择外文教材,由于语言的差异,学生对外文的理解和接受仍然存在一定的问题,为了帮助学生更好地学习,需要辅助中文教材,引导学生更好地理解外文教材的真谛。

更新教学内容 著名的摩尔定律早在几十年前就指出了当价格不变时,集成电路上可容纳的元器件的数目,约每隔18个月至24个月便会增加一倍,性能也将提升一倍。这条定律指引着集成电路产业飞速的发展,集成电路EDA课程是学生掌握集成电路设计的重点课程,因此必须紧跟时展,不断更新教学内容。现有的集成电路EDA教材涉及集成电路新技术的内容很少,大部分都以阐述基本原理为主,致使学生无法接触到最新的内容,影响学生在研究生面试、找工作等众多环节的发挥。在走入工作岗位后,学生感觉工作内容与学校所学的知识严重脱节,需要较长的时间补充新知识,来适应新工作。为了改善这种状况,需要以纸质教材为主,辅助电子PPT内容来进行教学。纸质教材主要提供理论知识,电子PPT紧跟集成电路的发展,随时更新和补充教学内容,及时将目前主流的EDA技术融入课程教学中。还可以进行校企结合,把企业的专家引进来,把学校的学生推荐到企业,将课程教学和企业实际相结合,才能激发学生的学习兴趣和积极性,提高教学效果。

参考文献

[1]马颖,李华.仿真软件在集成电路教学中的应用探讨[J].中国科教创新导刊,2009.

[2]杨媛,余宁梅,高勇.半导体集成电路课程改革的探索与思考[J].中国科教创新导刊,2008(3):78-79.

[3]李东生,尹学忠.改革传统课程教学强化EDA和集成电路设计[J].实验技术与管理,2005,4(22).

[4]徐太龙,孟坚.集成电路设计EDA实验课程的教学优化[J].电子技术教育,2012(7):87-89.

篇8

100Hz频率计数器的主要功能是在一定时间内对频率的计算。在数字系统中,计数器可以统计输入脉冲的个数,实现计时、计数、分频、定时、产生节拍脉冲和序列脉冲。而本篇论文主要介绍了频率计数器的实现:系统以MAX+PULSLLII为开发环境,通过VHDL语言作为硬件描述语言实现对电路结构的描述。在VHDL语言中采用了一系列的语句,例如:if语句、case语句、loop语句等。这些语句对程序中的输入输出端口进行了解释,并给出实现代码和仿真波形。相关的一些关键词:100Hz;分频;计数;MAX+PULSLLII;VHDL;编译;仿真等。

前言

VHDL是超高速集成电路硬件描述语言(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)的缩写在美国国防部的支持下于1985年正式推出是目前标准化程度最高的硬件描述语言。IEEE(TheInstituteofElectricalandElectronicsEngineers)于1987年将VHDL采纳为IEEE1076标准。它经过十几年的发展、应用和完善以其强大的系统描述能力、规范的程序设计结构、灵活的语言表达风格和多层次的仿真测试手段在电子设计领域受到了普遍的认同和广泛的接受成为现代EDA领域的首选硬件描述语言。目前流行的EDA工具软件全部支持VHDL它在EDA领域的学术交流、电子设计的存档、专用集成电路(ASIC)设计等方面担任着不可缺少的角色。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。

本文用VHDL在CPLD器件上实现一种2b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。

目录

摘要………………………………………………………………………1

前言……………………………………………………………………2

目录……………………………………………………………………3

第一章设计目的………………………………………………………5

1.1设计要求……………………………………………………5

1.2设计意义……………………………………………………5

第二章设计方案………………………………………………………6

第三章产生子模块……………………………………………………7

3.1分频模块……………………………………………………7

3.2分频模块源代码………………………………………………8

3.3仿真及波形图…………………………………………………9

第四章计数模块………………………………………………………9

4.1.计数模块分析…………………………………………………9

4.2.计数模块源代码………………………………………………10

4.3计数模块的仿真及波形图……………………………………12

第五章显示模块……………………………………………………12

5.1七段数码管的描述……………………………………………13

5.2八进制计数器count8的描述…………………………………14

5.3七段显示译码电路的描述……………………………………15

5.4计数位选择电路的描述………………………………………16

5.5总体功能描述……………………………………………18

5.6显示模块的仿真及波形图………………………………19

第六章顶层文件…………………………………………………20

6.1顶层文件设计源程序…………………………………………20

6.2顶层文件的仿真及波形图………………………………………21

结语…………………………………………………………22

参考文献……………………………………………………23

致谢…………………………………………………………24

附件…………………………………………………………25

第一章设计目的

1.1设计要求

a.获得稳定100Hz频率

b.用数码管的显示

c.用VHDL写出设计整个程序

1.2设计意义

a.进一步学习VHDL硬件描述语言的编程方法和步骤。

b.运用VHDL硬件描述语言实现对电子元器件的功能控制

c.熟悉并掌握元件例化语句的使用方法

篇9

 

1 引言

VHDL (Very HighSpeed Integrated Circuit Hardware Description Language)是美国国防部在20世纪80年代中期开始推出的一种通用的硬件描述语言。作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,VHDL语言在电子工程领域已成为事实上的通用硬件描述语言。VHDL为设计者提供了一种全新的数字系统的设计途径。使用VHDL语言不只是意味着代码的编写,更是为了便于建立层次结构和元件结构的设计,利用VHDL编写的电路模块可被重复利用。故可以简化设计者的设计工作,大大缩短设计时间,减少硬件设计成本,提高工作效率。

2 VHDL的优点

VHDL主要用于描述数字系统的结构、行为、功能和接口。应用VHDL进行工程设计的优点是多方面的:

(1)具有更强的行为描述能力,是系统设计领域最佳的硬件描述语言。

(2)具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。该功能能满足市场大规模系统高效、高速的需要,可替代多人甚至多个组共同工作。

VHDL的许多优点给硬件设计者带来了极大的方便, 自然被广大用户接受, 得到众多厂商的大力支持。使用VHDL设计数字系统已成为当今电子设计技术的必然趋势[4 ] 。

3 “自顶向下”( Top-Down) 的设计方法

随着数字系统设计规模的急剧加大,“自顶向下”的设计方法成为现代EDA设计的趋势。论文参考。传统的系统硬件设计方法是采用自下而上的设计方法。即系统硬件的设计是从选择具体元器件开始的,并用这些元器件进行逻辑电路设计,完成系统各独立功能模块设计,然后再将各功能模块连接起来,完成整个系统的硬件设计。而在VHDL的设计中,采用“自顶向下”( Top-Down) 的设计方法,设计常用流程图如图1所示,系统被分解为各个模块的集合后,可以对设计的每个独立模块指派不同的工作小组,这些小组可以工作在不同地点,甚至可以分属不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。论文参考。“自顶向下”设计的基本步骤为:

(1) 分析系统的内部结构并进行系统划分,确定各个模块的功能和接口;

(2) 编写程序,输入VHDL代码,并将其编译成标准的VHDL文件;

(3) VHDL 源代码进行综合优化处理;

(4) 配置,即加载设计规定的编程数据到一个或多个LCA器件中的运行过程,以定义器件内的逻辑功能块和其互连的功能。

(5) 下载验证,通过编程器或下载电缆载入将步骤(4) 得到的器件编程文件下载到目标芯片中,以验证设计的正确性。

图1 VHDL工程设计流程图

Fig.1 The design flow based on VHDL

4 VHDL的设计举例

下面以4选1数据选择器为例说明使用VHDL的设计过程。4选1数据选择器框图如图2所示。论文参考。

该数据选择器的VHDL描述如下:

entity sel is

port(a,b,c,d,sel_1:IN bit;

out_1:OUT bit);

end sel;

architectureexample of sel is

begin图2 4选1数据选择器

process((a,b,c,d, sel_0, sel_1) Fig.2 The one-in-four selector

begin

if sel_0=‘0’andsel_1=‘0’then

out_1<=a;

elsef sel_0=‘0’andsel_1=‘1’then

out_1<=b;

elsef sel_0=‘1’andsel_1=‘0’then

out_1<=c;

else

out_1<=d;

end if;

end process;

end example;

利用VHDL强大的仿真功能,经过编译后运行仿真,之后可以产生信号波形,用以分析仿真结果。本例中产生波形如图3所示。仿真结果符合设计功能的要求。

图3 仿真结果

Fig.3The waveform of simulation

5 结束语

本文以4选1数据选择器设计为例,说明利用VHDL设计电路系统的基本方法和过程。用VHDL语言实现电路的设计过程,是一个以软件设计为主,器件配置相结合的过程。这种软件设计与硬件设计的结合,以一片器件代替由多片小规模集成数字电路组成的电路,其优势已经越来越明显。在进行系统设计时,如果系统比较复杂,所需器件数目多,并要求体积小、速度快、功耗低时,首先应该考虑使用VHDL进行芯片设计,然后再进行整体设计。

参考文献

[1] Stafan Sjoholm,Lennart Lindh. 边计年,薛宏熙译. 用VHDL设计电子线路[M]. 北京:清华大学出版社,1999.

[2] 潘松,黄继业. EDA技术实用教程[M]. 科学出版社,2002.

[3] 侯伯亨,顾新. VHDL硬件描述语言与数字逻辑电路设计[M].西安: 西安电子科技大学出版社, 2004.

[4] 赵晨光等. VHDL语言在电子设计实践中的应用. 沈阳航空工业学院学报[J]. 2004,21(1):57-59.

篇10

引言

职业技术学院以培养适应社会各层面需求的、与时代相适应的、具有综合能力和全面素质的、直接在生产第一线服务的应用型人才为根本任务。一贯以来培养目标是以专业技术知识为基础,以实践为核心,注重理论联系实际,培养学生创新能力。让学生掌握一门扎实的专业基础知识和技能,使学生有较强的知识转化能力。

1.传统的专业基础课教学存在的主要问题

电子类职业学校的专业课都开设了专业基础课,这些专业基础课涉及的知识面广,基本概念、基本原理、分析方法多,因此学生在学习中,总是觉得很吃力,学完之后,又不知道如何运用知识。问题的症结是学生刚刚接触专业的知识,没有基础,而且传统的教学用单一的方法从理论上阐述,学生学起来感到抽象,难以理解和掌握,所以学生难以学好专业课。但专业基础课学好后,对学生的后续专业课的学习起着至关重要的作用。高等职业院校的电子专业基础理论课具有入门难、逻辑思维能力要求高的特点,比如《电工技术基础》的公式多、定理多、计算量大,《电子技术基础》概念多,单元电路分析计算难,电子专业基础课理论性,实践性强,与学生在高中学习的基础知识联系不多,每次课的新知识多,信息量大,抽象且枯燥无味,往往学生进入专业基础课的学习都会感到难以适应,久而久之导致恶性循环,以至于失去学习专业课的兴趣和信心。

2.传统的EDA课程教学存在的主要问题

随着EDA技术的普及,职业技术学院也相继开设了相关的课程比如《EDA电子设计自动化》,《Protel电路设计》,《可编程控制技术》等,涉及的主要软件有NI Multisim 10、protel99se、MAX plusII等等。NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。

NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。PROTEL是PORTEL公司(后更名为Altium)推出的EDA软件,是电子设计者的首选软件,在电子行业的CAD软件中,它当之无愧地排在众多EDA软件的前面,是个完整的板级全方位电子设计系统,它包含了电路原理图绘制、模拟电路与数字电路混合信号仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能,并具有Client/Server(客户/服务器)体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD,PSPICE,EXCEL等,其多层印制线路板的自动布线可实现高密度PCB的100%布通率,它较早就在国内开始使用,在国内的普及率也最高,几乎所有的电子公司都要用到它,许多大公司在招聘电子设计人才时在其条件栏上常会写着要求会使用PROTEL。转贴于 Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Max+plusⅡ界面友好,使用便捷,在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。但是,一般这些课程会被安排在专业基础课学习完毕以后,在第三或第四学期,学生在学习到这些仿真软件时往往已经忘却了许多重要的基本知识。除此以外,传统的教学方法在EDA应用软件的教学中只注重命令的介绍,或者说强调命令的操作步骤,而不重视命令以外的东西。由于教师本身的学业水平及其素质问题,功能性教学方法被广泛采用。采用这种方法学生不可能在教学规定的时间内宏观地、整体地去把握事物的内涵,所学的知识缺乏连贯性,独立操作软件的水平不高,只能简单模仿和死记硬背。同时该方法往往是以教师为中心,课堂上教师讲得多,学生参与少,不能适应培养高技能人才的需要。

3.改革的主要思路

传统的电子专业基础课教学和EDA技术教学存在明显的缺陷。在具体实施过程中教师应积极参加教研教改项目,以教改促进课程教学整体质量的提高。比如,在专业基础课的教学中不能照搬传统的一套教学方法,从数学推导或理论分析来得到相关的结论。一方面,应该借助电子仿真软件EDA开展教学,直观的形象显示有助于培养学生的观察能力和分析问题的能力,有助于教学重点和难点的讲解,可培养学生的学习兴趣,激发学习动机。另一方面结合其它课程设计的方法来提高EDA软件的使用,如《电路基础》、《数字电路》、《模拟电路》、《高频电子线路》等课程的设计以前都是手工完成设计部分的工作,现在都安排在EDA技术中心利用EDA软件来完成。通过大量实际训练,使学生掌握EDA在本专业各项设计与电路制作中的应用。在接触各种实际的和模拟的设计电路课题的过程中,提高分析、解决问题的能力。最后,推广职业认证考试高职教育应该依照国家职业分类标准及对学生就业有实际帮助的相关职业证书的要求,

调整教学内容和课程体系,把职业资格证书涉及的相关课程纳入教学计划之中,将证书考试大纲与专业教学大纲相衔接,创新人才培养模式,强化学生技能训练,使学生在获得学历证书的同时,顺利获得相应的职业资格证书,增强学生就业竞争能力。通过比赛提高学生的学习积极性,促进课程改革建设的深入。

结束语

实践证明,职业技术学院的专业基础课程和EDA教学方式的改革是势在必行的,只有通过改革才能把这两门课程教学实施得更好,才能达到高职高专院校培养适应社会各层面需求的、与时代相适应的、具有综合能力和全面素质的、直接在生产第一线服务的应用型人才的目标。当然,笔者提出的把两种课程糅合在一起贯穿执行的教学方式还不够细致和完善,这当中还存在很多方面的问题需要在以后的教学环节去检验和解决。

参考文献

[1]俞文英.关于电子专业基础课的教学探讨[J].洛阳师范学院学报,2003(5).

[2]李曙峰,冀云.EDA课程教学改革实践探索[J].科技致富向导,2011(23).

篇11

1概述

在教学过程中,具备数字系统设计实践工程能力,涉及相关数字系统课程体系教学与实践,在各高校的电气、电子信息类专业中,数字电路是一门专业基础课程,随着数字技术应用领域的不断扩大,在后续专业课程中,显而易见,随着电子产品数字化部分比重增大,它在数字系统设计中基础性地位越来越突出。

因此,培养适合现代电气、电子、信息技术发展的卓越人才,创新数字电路的课程几次理论与工程实践教学迫在眉睫。

根据我校近几年电气、电子课堂教学的实践情况,数字电路课程应该以面向应用的数字电路设计为核心,在熟练掌握基本电路教学内容的基础上引入先进的数字系统设计方法的课程教学和实践内容。

工程实践过程中,逐步从自底向上的设计方法逐步转变到自顶向下的设计方法中来,以教师科研应用来拓展,以全面培养优秀数字设计卓越技术人才[1]。

2探索构建数字电路教学中的多层次的创新实践平台

2.1多层次的数字电路创新实验平台构思。

面向卓越人才培养的数字电路课程创新实践教学,可以分层次进行在各个教学阶段逐步推进,包括:面向基础的数字设计的基本原理与工程创新实验教学模块、面向应用的数字电路课程设计教学和结合科研项目的创新实践平台[2][6]。

多层次的数字电路创新实验平台架构如图1所示。

2.2数字设计的基础原理与实验教学。

数字电路基础原理和实验教学是数字系统设计的课程体系的基础入门阶段,是培养数字逻辑代数与逻辑电路的重要过程,大类可分为时序逻辑电路和组合逻辑电路,其中时序逻辑电路主要包括:锁存器、触发器和计数器,组合逻辑电路包括,编译码器、多路复用器、比较器、加(减)法器、数值比较器和算术逻辑单元等。教学的目的是训练学生掌握组合和时序逻辑电路坚实理论基础,使学生掌握数字电路的基本概念、基本电路、基本分析方法和基本实验技能,不但要注重基本数字电路与系统设计理论的理解,同时让学生在学习中逐步了解面向应用和现代科技进步数字电路新的设计理念[2][3]。

2.3面向应用的数字电路课程设计实践教学。

随着电子设计自动化技术(EDA)和可编程器件(CPLD)的不断发展和应用,以EDA技术为主导的数字系统理念已经成为企业工程技术的核心。数字电路课程设计主要培养学生利用中小规模数字集成电路器件和大规模可编程器件进行数字电路设计和开发能力。在卓越工程师培养背景下,结合前阶段数字电路课程理论教学和实验教学的实际情况及EDA技术的发展状况,适时进行数字电路课程设计和EDA技术课程的综合衔接,以及课程深度融合[4]。主要内容包括:

2.3.1基于Multisim等相关软件的数字系统仿真实验。可以构建虚拟数字实验系统,不但较好地模拟实物外观外,还可以利用系统提供的实验平台开展实验的设计、仿真,进行实验内容的逻辑验证。

2.3.2基于通用和专用数字芯片的数字系统设计。其主要特点是有很好的直观性和具体性。

2.3.3基于硬件描述语言(HDL)的数学系统硬件描述。采用硬件描述语言实现数字逻辑设计,基于EDA环境仿真和验证。可以结合上述(1)和(2)的优点,采用硬件设计软件化技术应用于数字电路课程设计的实验教学中,通过综合性实验的自行设计和实验,对实验内容、实验规模、实验方法进行了综合创新设计[5]。

2.4结合科研项目的数字设计实验创新平台。

在高等院校,教师即承担教学任务,同时有各自的科学研究方向,同学们可以根据自己的研究兴趣,加入教师的科研团队,形成教学与科研互利的良性循环。面向卓越工程师培养的数字系统设计,可以借助横向或纵向科研项目形成综合教学体系。比如:搭建在线可编程门阵列(FPGA)创新实验平台,形成数字电路、电路线路课程设计、可编程逻辑器件以及集成芯片系统设计,形成面向数字系统设计的课程体系[3]。同时,应用高校与知名企业建立的校企合作平台,把企业界的研究信息和研发需求引入到教学平台,开拓了学生的研究思路和视野,提升了学生设计复杂数字系统的能力;目前,我校正在与国际知名的半导体公司Xilinx、Altera和Cypress陆续建立卓越人才大学培养计划,利用大学设置小学期,在FPGA和PSoC开发平台上进行了面向实际应用的数字系统设计,在实践平台上不仅有学校的任课教师,还有知名企业派来的一线工程师指导同学们的实践,相比改革前,取得很好的实践效果,同学们的数字系统设计水平得到了提高,同时在编程、接口、通信协议等方面也有了深刻的认识。

对于优秀的学生,借助全国各种形式的大学生电子(信息)设计竞赛这个创新平台,组织他们积极参与,激发他们的学习研究兴趣和创新意识,综合所应用的数字系统设计知识,发挥竞赛团队的协作精神。每年,我们都有部分优秀学生通过努力,创新设计的作品获得专业认可,并取得了良好的参赛成绩,也使得数字设计课程体系的建设上了一个新的台阶。

3基于创新平台的课程体系优化与实践

卓越工程师培养要求的数字电路系统设计课程体系协调好相关电气、电子类专业上下游相关理论课程、实验综合性设计同时得到协调发展。如何实践论文所提到的创新实验平台,应该引进现代数字设计理念,重点把EDA软件、设计工具、开发平台与传统的数字电路基础理论教学相衔接。我们在这几年对数字系统设计课程体系、创新实践教学内容等方面的进行了改革与探索,取得了一定的成效。经过这几年的实践,我们逐步构建了面向应用的数字系统设计课程优化体系[5],如图2所示。

4不断探索数字电路理论教学内容的改革与实践

4.1以数字电路设计为目的强化基本逻辑电路理论教学。

在进行复杂数字系统设计之前应该熟练掌握这些常用基本组合和时序逻辑电路,包括电路的功能、电路的描述以及电路的应用场合等。

树立电路设计思想首先需要熟练掌握一些基本的逻辑功能电路。其次,树立电路设计思想需要理论讲解与实践相结合,逐步熟悉硬件描述语言的描述方式。数字系统设计强调采用硬件描述语言来对电路与系统进行描述、建模、仿真等[2][3]。

4.2掌握面向应用的数字系统工程设计方法。

学生在掌握数字电路基本概念和一般电路的基础上,进一步掌握数字系统设计的方法、途径和手段。其主要内容包括:数字系统与EDA的相关概念、可编程逻辑器件、硬件描述语言、电路元件的描述、数字系统的设计方法、开发环境与实验开发平台以及应用实例的介绍等。这些课程内容涉及面较广,为了提高教与学的效果,探索总结了以下的教学重点内容,并作为教学实践中的教学切入点[1]。

随着电子技术不断发展与进步,现代数字系统设计在方法、对象、规模等方面已经完全不同于传统的基于固定功能的集成电路设计[1][2]。现代数字系统设计采用硬件描述语言(HDL)描述电路,用可编程逻辑器件(PLD)来实现高达千万门的目标系统。这一过程需要也应该有先进的设计方法。根据硬件描述语言的特性和可编程逻辑器件的结构特点以及应用的需要,在教学过程中阐述了先进设计方法。例如:采用基于状态机的设计方法设计复杂的控制器(时序电路),应用或设计锁相环或延时锁相环来处理时钟信号,应用自行设计(IPcore)软核来提高数据吞吐量[1][2][3]。

4.3深化数字电路实验教学改革。

实验实践教学过程中,注重基础训练与实践创新相结合的实验教学改革思路,加强学生工程思维训练、新平台工具的使用、遇到逻辑问题的综合分析能力,理论与实践相结合的分析能力。在实践过程中的提高创新性和综合性能力,面向应用的数字电路创新平台建设,需要不断提高课程试验、实验和实践过程在教学中的比例,在符合认知规律的同时,逐步加强来源与实际需要的综合性数字设计实验。

5结语

数字电路是电气、电子信息类专业的一门重要的专业基础课程,论文针对当今卓越工程师培养的要求,以及在教学过程中遇到的主要问题,探讨了面向应用的数字电路课程创新实践平台。提出了多层次的数字电路创新实验平台结构和面向应用的数字系统设计课程优化体系。目的在于,通过课程及相关课程体系改革与创新,使得学生更快、更好的适应现代数字技术发展的需求。

参考文献

[1]孔德明.《数字系统设计》课程教学重点的探讨,科技创新导报,2012.1,173-174.

[2]任爱锋,孙万蓉,石光明.EDA实验与数字电路相结合的教学模式的实践,实验技术与管理,2009.4,200-202.

[3]叶波,赵谦,林丽萍.FPGA课程教学改革探索,中国电力教育,2010,24,130-131.

相关范文